光材料产品
当前位置:首页 > 产品展示 > 光材料产品

超异构 和 Chiplet_思想百科

来源:开云棋牌官网最新    发布时间:2023-12-31 11:33:25

  • 产品描述:...

产品详细

  无疑是近几年集成电路行业的最热技术之一。单从字面意义上能够理解为更为“粒度更小的芯片”。它是一种在先进制程下提升芯片的集成度,从而在不改变制程的前提下提升算力,并保证芯片制造良品率的一种手段。

  现代芯片制造工艺可以被视为一个无限追求摩尔定律极限的过程,而当芯片的工艺制程突破28nm以下时,传统的平面晶体管结构便完全不能支撑进一步的微缩,而业界对此的应对措施当然也很直接——改结构。

  几十年来,半导体行业一直遵循摩尔定律发展,其实在1965年戈登摩尔提出摩尔定律的同时,在那篇开创性论文中,摩尔也提到“将单个芯片分解成更小的部分的经济学有一天会变得有意义”。某一些程度上来看,AMD使用Chiplet概念是最成功的案例。

  2017年,AMD推出了其初代Epyc服务器处理器Naples,在单个封装中具有4个同类的CPU;到2019年AMD又推出了第二代EPYC处理器Rome,此时使用了8块CPU芯片,该芯片使用的是14nm工艺,而内部封装的CPU Chiplet使用7nm晶体管来提高速度和功率,Rome是当时英特尔最好的CPU性能的两倍多。

  Chiplet标准UCIe已得到很多主流大厂的认可。Chiplet的价值挖掘,目前基本停留在降成本和扩大设计规模上,即Chiplet有两大很明显的好处。其价值还没有正真获得深度挖掘。Chiplet的潜力远不止如此,软硬件融合专家黄朝波表示,目前的Chiplet很多做法,其实并没有把Chiplet的价值发挥到最大,只是用了Chiplet最基础的价值。Chiplet带来的新价值,不仅仅是线性增长,而应该是指数增长。

  Chiplet成为半导体产业链新的价值成长关键已得到业界普遍共识。直观上来看,Chiplet实际上的意思就是多个Chiplet通过先进的封装技术形成的 SiP。它将不同工艺节点和不一样的材质的芯片通过先进的集成技术(如2.5D/3D集成技术)封装集成在一起,形成一个系统芯片,实现了一种新形式的IP复用。

  其一,Chiplet的流行,快速增加的单芯片设计规模,会给系统架构创新提供更大的发挥空间,使得计算的架构,从异构走向超异构。

  其二,超异构带来的算力指数级提升,使得Chiplet的价值得到更充分的发挥,显著性降本,反过来会促进Chiplet的大范围流行。有些Die的功能不变,我们不必对其使用先进工艺,可在节省成本的同时快速抢占市场。

  其三,UCIe白皮书中给出的Chiplets封装集成的价值,即在于满足一直增长的性能需求,芯片面积增加,有些设计甚至会超出掩模版面积的限制,改用多个小芯片也更加有助于提升良率。

  其四,Chiplet封装集成模式还可以使用户能自主选择Die的数量和类型。例如,用户都能够根据需求挑选任意数量的计算、内存和I/O Die,并无需进行Die的定制设计,可降低产品的SKU成本。

  其五,多个相同Die的集成封装能够适用于更大规模的场景。不同的应用场景在大多数情况下要不同的计算加速能力,但能够正常的使用同一种核心、内存和I/O。Chiplet方式允许厂商根据功能需求对不同的功能单元应用不同的工艺节点,并实现共同封装。相比板级互连,封装级互连具有线长更短、布线更紧密的优点。

  Chiplet已被AMD、英特尔、苹果、华为和三星等公司证明有效,并籍由Chiplet技术获得了巨大的产品价值和收益。今年2季度AMD的CPU市占率已达到了31.4%(往年同期为25.3%)。2022年 3月,苹果自研的M1 Ultra将Chiplet再次推上风口浪尖,采用Chiplet设计的M1芯片大获成功,革新了个人电脑产业。不只是用于CPU,AMD最近发布的专利表明,Chiplet用于GPU也大有前途。

  今后随着全球消费电子产业、HPC运算等对Chiplet的需求,Chiplet未来市场发展的潜力一片大好。根据研究机构 Omdia 报告,2024年采用Chiplet的处理器芯片的全球市场规模将达 58 亿美元,到2035年将达到570亿美元。

  Intel进一步提出要用六个不同的技术支柱来应对未来数据的多样化、数据量的爆发式增长,还有解决方法的多样性。这六大技术支柱就是:制程和封装、架构、内存和存储、互连、安全、软件。它们是互相相关、紧密耦合的。Intel认为:这六大技术支柱会带来指数级的创新,也是英特尔未来十年甚至未来五十年的主要驱动力。

  新技术新模型下,计算、算力究竟诞生了什么新标准、新机遇?异构计算正在成为行业共识。超异构也可以看作是由多个逻辑上独立的异构子系统有机组成的,但SOC和超异构不同:SOC的不同模块通常无法直接高层次数据通信,而是通过CPU调度才能间接通信。SOC本质上也是异构并行,SOC可以看作是CPU+GPU、CPU+ISP、CPU+Modem等多个异构并行子系统组成的系统。超异构处理器HPU,能算得上是SOC,但又跟传统的SOC有很大的不同。

  在过去一年中,各头部企业都在通过先进设计、先进制程、先进封装或扩充产品线等方式来实现对异构计算的布局。

  系统慢慢的变庞大,系统能分解成很多个子系统,子系统的规模已达到传统单系统的规模。因此,都升级一下:系统变成了宏系统,子系统变成了系统。

  在云计算、边缘计算等形式的综合计算模式下,单个用户应用的规模可能不大,但因为云计算的超大规模和多租户,很多相似的用户应用其总和规模足够庞大,因此,也能够最终靠GPU、FPGA或专用芯片的方式来进行加速优化。

  超异构集成更多的处理引擎,提供更高的并行性,实现更分布式的系统,可以更好地驾驭数量级增加的芯片设计规模。此外,Chiplet更好地容纳现有宏系统的承载,通过超异构,使得很多性能优化措施得到落实,从而使得性能指数级增长(而不是根据面积的增加,线性增长)。可以说,超异构,成就了Chiplet更大的价值,使得Chiplet方案得到更大范围的落地,促进Chiplet技术的成熟和市场繁荣。

  超异构计算,需要数量级提升的晶体管资源,而Chiplet可以在芯片层次提供如此规模的晶体管资源,实现超异构计算方案和价值落地。

  超异构计算的价值得到充分体现,超异构不断落地,会带动Chiplet的价值发挥、更广泛的落地以及市场繁荣。

  随着超异构的发展,对Chiplet的要求会逐步的提升,需要Chiplet技术向更高的能力迈进。

  Chiplet产业链中所孕育的强大商机,但是国内Chiplet芯片设计企业寥寥无几。算力由性能、规模和利用率三部分所组成。算力 = (单芯片)性能 x 规模(即数量) x 利用率。

  首先是半导体IP公司,芯原股份和芯动科技在Chiplet领域均有所布局。芯原股份在半年报中对Chiplet相关进展进行介绍称,公司有可能成为全世界第一批面向客户推出Chiplet商用产品的企业。芯动科技也推出了高性能、低成本的Innolink Chiplet方案。Chiplet很大的一个特点或者说优势就是IP复用,如芯原股份和芯动科技这类的IP供应商的升级和努力,将能够帮助系统厂商、互联网厂商这类缺乏芯片设计经验和资源的企业更好的自研产品。

  其次是封装领域,Chiplet技术的实现必依托于先进封装,如SiP、2.5D/3D等,因此,国内的封装厂自是要抓住这波潮流。此前长电科技董事、首席执行长郑力曾表示:“先进封装,或者说芯片成品制造,有几率会成为后摩尔时代的重要颠覆性技术之一,特别是后道制造在产业链中的地位愈发重要,有望成为集成电路产业的新的制高点。”

  当我们谈Chiplet的时候,大多数时候通常会首先想到封装方式和IP复用,但芯片设计环节更是重中之重。中科院计算所韩银和研究员表示:“Chiplet更多的是要从设计的维度去看,才能对整个产业有较大变化,而不单单是IP和封装环节。”

  目前国内企业在Chiplet的探索也主要是围绕在CPU和GPU这两大领域,但从长远来看,随着Chiplet产业链更成熟,Chiplet的发展将不局限于这类大芯片,而是会有更广阔的运用空间。近期,寒武纪、壁仞科技、超摩科技、奇异摩尔等国内芯片设计企业纷纷宣布其在Chiplet领域的谋划。

  在云计算、边缘计算、终端超级计算机(如无人驾驶)等复杂计算场景,对芯片的可编程能力要求非常高,甚至高过对性能的要求。如果不是基于CPU的摩尔定律失效,数据中心依然会是CPU的天下(虽然CPU的性能效率是最低的)。

  性能和灵活可编程性,是影响大算力芯片大规模落地很重要的两个因素。两者如何均衡,甚至兼顾,是大芯片设计永恒的话题。

  单类型引擎性能和灵活性的矛盾。CPU灵活性好,但性能不够;ASIC性能极致,但灵活性不够。

  不同用户的业务差异以及用户的业务迭代。针对这一问题,目前主要做法是针对场景定制。通过FPGA定制,规模太小,成本和功耗太高;通过芯片定制,导致场景碎片化,芯片难以大规模落地,难以摊薄成本。

  宏观算力要求芯片能够支撑大规模部署。宏观算力与单位芯片算力,以及芯片的落地规模成正比。但各类性能提升的方案会损失可编程灵活性,使得芯片难以实现大规模部署,从而进一步影响宏观算力的增长。最典型的例子就是目前AI芯片的大规模落地困难。

  芯片的一次性成本过高。数以亿计的NRE成本,需要芯片的大规模落地,才能够摊薄一次性成本。这就需要芯片足够“通用”,在很多场景可以落地。

  生态建设的门槛。大芯片需要框架和生态,门槛高且需要长期积累,小公司难以长期大量投入。

  计算平台的融合。云网边端融合,需要构建统一的硬件平台和系统堆栈。等等。

  2022年7月25日,超摩科技宣布完成超亿元Pre-A轮融资,本轮融资由达泰资本领投,云岫资本担任独家财务顾问。北京超摩科技成立于2021年,是一家基于Chiplet架构的高性能CPU设计公司。

  2022年8月15日,奇异摩尔(上海)集成电路有限公司(以下简称“奇异摩尔”)宣布完成亿元种子及天使轮融资,奇异摩尔成立于2021年初,专注于2.5D及3DIC Chiplet产品及服务,主要提供高性能通用底座Base die、高速接口ChipletIO Die、Chiplet软件设计平台等产品。

  2022年3月,由AMD、Arm、ASE、Google Cloud、英特尔、Meta、微软、高通、三星和台积电等行业领军企业联合宣布成立UCIe产业联盟(“Universal Chiplet Interconnect Express”),共同打造Chiplet互联标准、推进开放式的Chiplet生态,并制定UCIe的有关技术标准和规范。此后,云服务厂商、芯片代工厂、系统原始设备制造商、芯片IP供应商与芯片设计企业纷纷加入UCIe联盟,显而易见计算产业对于Chiplet标准建设和生态构建的期许。

  国内企业中,芯原微电子、芯动科技、长鑫存储、芯与半导体、芯耀辉、摩尔精英、灿芯半导体、忆芯科技、牛芯半导体、芯云凌、超摩科技、希姆计算、世芯电子、阿里巴巴、辉羲智能、OPPO、爱普科技、力积存储、蓝洋智能等多家国内企业已成为 UCIe 联盟成员。

  异构计算的价值在于能让最适合的专用硬件去服务最适合的业务场景,实现性能、成本、功耗三者间的平衡。基于不同技术细节,它可大致分为板卡集成异构计算、芯片级异构计算、超异构计算。

  随着摩尔定律走到极限,Chiplet被行业一致认为是未来5年算力的主要提升技术,再加之我国产业中短期内无法破解EUV光刻机卡脖子瓶颈,实现7nm以下工艺难度大,也被寄予厚望为我国突破半导体工艺被卡脖子的重要方法。但对于Chiplet,我们该理性看待,现在有多么火热,就有多么难做。

  Chiplet,或为打破国产制程瓶颈的关键方案。同时,Chiplet可助力超异构集成计算的发展,CPU性能、灵活性同步提升。Chiplet有望成为支持高性能计算存储的关键,美国正在开发的三个超级计算机Aurora、El Capitan和Frontier,CPU和GPU利用Chiplet方案,AMD,Intel,华为的服务器处理器芯片均采用Chiplet方案助力算力突破及性能提升。

  未来有两大发展的新趋势需要我们来关注,一是超异构与Chiplet的相互成就;二是异构计算仍需技术流程协同、软硬件标准统一,以及由于不同系统架构、指令和程序导致的技术难度提升。

  CPU,基础指令构成,只有CPU一个子类型。最好的灵活可编程性,可以用在任何领域,但性能相对最低。

  Co-processor,基于CPU的扩展指令集的运行引擎,如Intel的AVX、AMX。

  GPU,小处理器众核并行,NP、Graphcore IPU等都在这一层级。较好的软件编程能力,覆盖领域和场景较多,但性能居中无法极致。

  DSA,具有某些特定的程度上的可编程,覆盖的领域和场景比ASIC要大,但仍需要很多面向不相同的领域的DSA。

  ASIC,理论上最复杂的“指令”,单个ASIC覆盖的场景非常小,因此存在数量众多的各类ASIC引擎。

  除此之外,新一代的智能计算架构也受到广泛关注。当前摩尔定律已逼近极限,依靠器件尺寸微缩来提高芯片性能的技术路径在功耗和可靠性方面都面临巨大挑战。

  传统的冯诺依曼架构已无法适应现如今AI计算对算力和低功耗的需求,存算一体芯片、类脑芯片(AI模仿人脑)、硅光芯片(“以光代电”)作为More than Moore的代表开始受 到关注。

  我们看好外部形势趋紧之下,Chiplet技术方案由设计企业引领、先进封装赋能落地,从上游IP、EDA、设计到中游制造,再到下游封测,革新半导体产业链,重塑产业链价值,有望助力国产芯实现换道超车。看好封装公司估值处于历史相对低位,周期底部有望率先复苏,伴随2D封装到3DChiplet发展,封装环节价值逐步提升。

  从全产业链来看,Chiplet作为一种全新设计理念提升了设计、IP、EDA环节的引领性地位,有望为中游制造、下游封测带来价值增量。从具体的落地方案来看,Chiplet主要是依靠高速互联的设计和异构集成先进封装技术的支撑。设计方面,主要是通过BaseDie/IODie/DietoDie设计实现核心处理模块之间,及其他各模块间的高速互联。封装方面,Chiplet封装演进的本质是在成本可控的情况下尽可能提升互联的密度与速度,从2D封装到2.5DChiplet、3DChiplet,封装环节价值量&重要性有望不断的提高。我国封装厂商技术积累深厚,长电科技、通富微电、华天科技已实现Chiplet量产,封装环节具有“估值处历史相对低位+周期复苏+产业价值量提升”的投资逻辑。

  ChatGPT开启AI新纪元,迎万亿市场规模。ChatGPT是大数据+大模型+大算力的产物,每一代GPT模型的参数量快速地增长,根据人工智能学家公众号数据,2020年5月发布的ChatGPT的前身GPT-3参数量达到了1750亿(预训练数据量达45TB,远大于GPT 2的40GB)。算力需求方面,训练ChatGPT所耗费的算力大概是3640 PetaFLOPs per day,即用每秒能够运算一千万亿次的算力对模型进行训练,需要3640天完成。随着科学技术巨头类ChatGPT项目入局,整体在算力提升、数据存储及数据传输端需求迭起。而随着摩尔定律逐渐趋缓,我们大家都认为Chiplet有望成为支持高性能计算存储的关键。美国正在开发的三个超级计算机Aurora、El Capitan和Frontier,CPU和GPU利用Chiplet方案,AMD,Intel,华为的服务器处理器芯片均采用Chiplet方案助力算力突破及性能提升。

  按照现存技术成熟度以及现有需求成熟度分析,量子位智库预计,2030年AIGC市场规模将超过万亿人民币。

  Chiplet相关上市公司:长电科技、通富微电、华天科技、寒武纪、佰维存储、润欣科技等。

  朱明(Zhu Ming,1967年10月21日 ~),中国上海崇明人,民间思想家,艺术家,世界经济哲学家,“流家思想”(“朱明思想体系”)创始人,中国流艺术理论和价值流动论的创始奠基人,专栏作家,智库专家,上海流家文化传播有限公司和上海流家艺术品经营有限公司创始人、法人。被誉为中国抽象绘画之父、世界经济哲学之父、现象经济学之父。