产品展示
当前位置:首页 > 产品展示

干货 一文看懂 IC 芯片全流程:从设计、制造到封装

来源:开云棋牌官网最新    发布时间:2024-03-19 01:19:53

  • 产品描述:...

产品详细

  【下载】关于芯片和芯片设计的科普:集成电路设计人员给家人的科普.ppt

  【帖子】从集成电路后段制造领域(芯片封装与测试)来了解现实中微电子制造领域的静电问题

  【设计】TCK206G 0.75V、2A 超小封装反向电流阻断负载开关 IC 的典型应用

  【设计】TCK207G 0.75V, 2A 超小封装反向电流阻断负载开关IC典型应用电路

  【设计】TCK208G 0.75V, 2A 超小封装反向电流阻断负载开关IC典型应用电路

  【设计】LC5710S 产品的典型应用是 LED 驱动器的电源 IC,它将功率 MOSFET 和控制器 IC 集成在一个封装中,用于降压应用

  【设计】LC5710S 产品的典型应用是 LED 驱动器的电源 IC,它将功率 MOSFET 和控制器 IC 集成在一个封装中,用于升压应用

  【设计】LC5710S 产品的典型应用是 LED 驱动器的电源 IC,它在一个封装中集成了一个功率 MOSFET 和一个控制器 IC,用于降压-升压应用

  报名赢【养生壶、鼠标】等|STM32 Summit全球在线大会邀您一起解读全新STM32方案

  最后1天报名【送开发板,送好礼】STM32直播:多款新品发布、成功案例、全新解决方案

  MPS电机研究院 让电机更听话的秘密! 第一站:电机应用知识大考!第三期考题上线,跟帖赢好礼~

  【TE Conncetivity】优化仓储效率?欢迎了解TE重载连接器电池充/换电解决方案

  【TE Connectivity】Power Versa-Lock 连接器,助力紧凑空间下的高性能表现

  【Panduit】泛达工业电气在此推出八款提高项目效率的新型下一代蓝牙 BLACKFIN® 工具

  【TE Connectivity】泰科电子低温升 Cluster Block连接器,无惧高温,“清新”来袭!

  波士顿动力的仓库机器人Strentch来了,挑战每小时搬运800个箱子

  Microchip推出符合IEEE 802.3bt以太网供电(PoE)新标准的8端换机,助力打造超高的性价比智能照明系统

  使用Code Composer Studio开发MSP430 - GPIO外部中断

  在 IC 生产流程中,IC 多由专业 IC 设计公司进行规划、设计,像是联发科、高通、Intel 等知名大厂,都自行设计各自的 IC 芯片,提供不一样的规格、效能的芯片给下游厂商选择。因为 IC 是由各厂自行设计,所以 IC 设计十分仰赖工程师的技术,工程师的素质影响着一间企业的价值。然而,工程师们在设计一颗 IC 芯片时,究竟有那些步骤?设计流程可以简单分成如下。

  规格制定的第一步便是确定 IC 的目的、效能为何,对大方向做设定。接着是察看有哪些协定要符合,像无线网卡的芯片就需要符合 IEEE 802.11 等规範,不然,这芯片将无法和市面上的产品相容,使它无法和别的设备连线。最后则是确立这颗 IC 的实作方法,将不同功能分配成不同的单元,并确立不同单元间连结的方法,如此便完成规格的制定。

  设计完规格后,接着就是设计芯片的细节了。这个步骤就像初步记下建筑的规画,将整体轮廓描绘出来,方便后续制图。在 IC 芯片中,便是使用硬体描述语言(HDL)将电路描写出来。常使用的 HDL 有 Verilog、VHDL 等,藉由程式码便可轻易地将一颗 IC 地功能表达出来。接着就是检查程式功能的正确性并持续修改,直到它满足期望的功能为止。

  有了完整规画后,接下来便是画出平面的设计蓝图。在 IC 设计中,逻辑合成这个步骤便是将确定无误的 HDL code,放入电子设计自动化工具(EDA tool),让电脑将 HDL code 转换成逻辑电路,产生如下的电路图。之后,反覆的确定此逻辑闸设计图是不是满足规格并修改,直到功能正确为止。

  首先,目前已经知道一颗 IC 会产生多张的光罩,这些光罩有上下层的分别,每层有各自的任务。下图为简单的光罩例子,以积体电路中最基本的元件 CMOS 为範例,CMOS 全名为互补式金属氧化物半导体(Complementary metal–oxide–semiconductor),也就是将 NMOS 和 PMOS 两者做结合,形成 CMOS。至于什么是金属氧化物半导体(MOS)?这种在芯片中普遍的使用的元件比较难说明,一般读者也较难弄清,在这裡就不多加细究。

  至此,对于 IC 设计应该有初步的了解,整体看来就很清楚 IC 设计是一门很复杂的专业,也多亏了电脑辅助软体的成熟,让 IC 设计得以加速。IC 设计厂十分依赖工程师的智慧,这裡所述的每个步骤都有其专门的知识,皆可独立成多门专业的课程,像是撰写硬体描述语言就不单纯的只需要熟悉程式语言,还需 要了解逻辑电路是如何运作、如何将所需的演算法转换成程式、合成软体是如何将程式转换成逻辑闸等问题。

  晶圆(wafer),是制造各式电脑芯片的基础。我们大家可以将芯片制造比拟成用乐高积木盖房子,藉由一层又一层的堆叠,完成自己期望的造型(也就是各式芯 片)。然而,假如没有良好的地基,盖出来的房子就会歪来歪去,不合自己所意,为做出完美的房子,便需要一个平稳的基板。对芯片制造来说,这个基板就是接 下来将描述的晶圆。

  在固体材料中,有一种特殊的晶体结构──单晶(Monocrystalline)。它具有原子一个接着一个紧密排列在一起的特性,能形成一个平整的原 子表层。因此,采用单晶做成晶圆,便能够完全满足以上的需求。然而,该怎么样产生这样的材料呢,主要有二个步骤,分别为纯化以及拉晶,之后便能完成这样的材料。

  纯化分成两个阶段,第一步是冶金级纯化,此一过程主要是加入碳,以氧化还原的方式,将氧化硅转换成 98% 以上纯度的硅。大部份的金属提炼,像是铁或铜等金属,皆是采用这样的方式获得足够纯度的金属。但是,98% 对于芯片制造来说依旧不够,仍要进一步提升。因此,将再进一步采用西门子制程(Siemens process)作纯化,如此,将获得半导体制程所需的高纯度多晶硅。

  接着,就是拉晶的步骤。首先,将前面所获得的高纯度多晶硅融化,形成液态的硅。之后,以单晶的硅种(seed)和液体表面接触,一边旋转一边缓慢的向上 拉起。至于为何需要单晶的硅种,是因为硅原子排列就和人排队一样,会需要排头让后来的人该如何正确的排列,硅种便是重要的排头,让后来的原子知道该如何排 队。最后,待离开液面的硅原子凝固后,排列整齐的单晶硅柱便完成了。

  然而,8寸、12寸又代表啥东西呢?他指的是我们产生的晶柱,长得像铅笔笔桿的部分,表面经过处理并切成薄圆片后的直径。至于制造大尺寸晶圆又有什么 难度呢?如前面所说,晶柱的制作的步骤就像是在做棉花糖一样,一边旋转一边成型。有制作过棉花糖的话,应该都知道要做出大而且扎实的棉花糖是相当困难的,而 拉晶的过程也是一样,旋转拉起的速度以及温度的控制都会影响到晶柱的品质。也因此,尺寸愈大时,拉晶对速度与温度的要求就更高,因此要做出高品质 12 寸晶圆的难度就比 8 寸晶圆还来得高。

  在开始前,我们要先认识 IC 芯片是什么。IC,全名积体电路(Integrated Circuit),由它的命名可知它是将设计好的电路,以堆叠的方式组合起来。藉由这样的解决方法,我们大家可以减少连接电路时所需耗费的面积。下图为 IC 电路的 3D 图,从图中能够准确的看出它的结构就像房子的樑和柱,一层一层堆叠,这也就是为何会将 IC 制造比拟成盖房子。

  首先,在这裡可以将红色的部分比拟成高楼中的一楼大厅。一楼大厅,是一栋房子的门户,出入都由这裡,在掌握交通下通常会有较多的机能性。因此,和其他楼 层相比,在兴建时会很复杂,需要较多的步骤。在 IC 电路中,这个大厅就是逻辑闸层,它是整颗 IC 中最重要的部分,藉由将多种逻辑闸组合在一起,完成功能齐全的 IC 芯片。

  黄色的部分,则像是一般的楼层。和一楼相比,不会有太复杂的构造,而且每层楼在兴建时也不会有太多变化。这一层的目的,是将红色部分的逻辑闸相连在一 起。之所以需要这么多层,是因为有太多线路要连结在一起,在单层无法容纳所有的线路下,就要多叠几层来达成这个目标了。在这之中,不同层的线路会上下相连 以满足接线的需求。

  知道 IC 的构造后,接下来要介绍该怎么来制作。试想一下,如果要以油漆喷罐做精细作图时,我们需先割出图形的遮盖板,盖在纸上。接着再将油漆均匀地喷在纸上,待油 漆乾后,再将遮板拿开。不断的重复这个步骤后,便可完成整齐且复杂的图形。制造 IC 就是以类似的方式,藉由遮盖的方式一层一层的堆叠起来。

  再回来探究纳米制程是什么,以 14 纳米为例,其制程是指在芯片中,线 纳米的尺寸,下图为传统电晶体的长相,以此作为例子。缩小电晶体的最最大的目的就是为了要减少耗电量,然而要缩小哪个部分才可以做到这个目的?左下图中的 L 就是我们期望缩小的部分。藉由缩小闸极长度,电流可以用更短的路径从 Drain 端到 Source 端(有兴趣的话可通过 Google 以 MOSFET 搜寻,会有更详细的解释)。

  此外,电脑是以 0 和 1 作运算,要如何以电晶体满足这个目的呢?做法就是判断电晶体是否有电流流通。当在 Gate 端(绿色的方块)做电压供给,电流就会从 Drain 端到 Source 端,假如没有供给电压,电流就不会流动,这样就可以表示 1 和 0。(至于为何需要用 0 和 1 作判断,有兴趣的话可以去查布林代数,我们是使用这样的解决方法作成电脑的)

  不过,制程并不能无限制的缩小,当我们将电晶体缩小到 20 纳米左右时,就会遇到量子物理中的问题,让电晶体有漏电的现象,抵销缩小 L 时获得的效益。作为改善方式,就是导入 FinFET(Tri-Gate)这个概念,如右上图。在 Intel 以前所做的解释中,不难得知藉由导入这个技术,能减少因物理现象所导致的漏电现象。

  更重要的是,藉由这样的解决方法可以增加 Gate 端和下层的接触面积。在传统的做法中(左上图),接触面只有一个平面,但是采用 FinFET(Tri-Gate)这个技术后,接触面将变成立体,可以轻易的增加接触面积,这样就可以在保持一样的接触面积下让 Source-Drain 端变得更小,对缩小尺寸有相当大的帮助。

  目前常见的封装有两种,一种是电动玩具内常见的,黑色长得像蜈蚣的 DIP 封装,另一为购买盒装 CPU 时常见的 BGA 封装。至于其他的封装法,还有早期 CPU 使用的 PGA(Pin Grid Array;Pin Grid Array)或是 DIP 的改良版 QFP(塑料方形扁平封装)等。因为有太多种封装法,以下将对 DIP 以及 BGA 封装做介绍。

  首先要介绍的是双排直立式封装(Dual Inline Package;DIP),从下图能够正常的看到采用此封装的 IC 芯片在双排接脚下,看起来会像条黑色蜈蚣,让人印象非常深刻,此封装法为最早采用的 IC 封装技术,具有成本低廉的优势,适合小型且不需接太多线的芯片。但是,因为大多采用的是塑料,散热效果较差,不足以满足现行高速芯片的要求。因此,使用此 封装的,大多是历久不衰的芯片,如下图中的 OP741,或是对运作速度没那么要求且芯片较小、接孔较少的 IC 芯片。

  至于球格阵列(Ball Grid Array,BGA)封装,和 DIP 相比封装体积较小,可轻易的放入体积较小的装置中。此外,因为接脚位在芯片下方,和 DIP 相比,可容纳更多的金属接脚相当适合需要较多接点的芯片。然而,采用这种封装法成本比较高且连接的方法较复杂,因此大多用在高单价的产品上。

  然而,使用以上这些封装法,会耗费掉相当大的体积。像现在的行动装置、穿戴装置等,需要相当多种元件,如果各个元件都独立封装,组合起来将耗费非常大的 空间,因此目前有两种方法,可满足缩小体积的要求,分别为 SoC(System On Chip)以及 SiP(System In Packet)。

  在智慧型手机刚兴 起时,在各大财经杂誌上皆可发现 SoC 这个名词,然而 SoC 究竟是啥东西?简单来说,就是将原本不同功能的 IC,整合在一颗芯片中。藉由这样的解决方法,不单可以缩小体积,还可以缩小不同 IC 间的距离,提升芯片的计算速度。至于制作的过程,便是在 IC 设计阶段时,将各个不同的 IC 放在一起,再透过先前介绍的设计流程,制作成一张光罩。

  然而,SoC 并非只有优点,要设计一颗 SoC 需要相当多的技术配合。IC 芯片各自封装时,各有封装外部保护,且 IC 与 IC 间的距离较远,比较不会发生交互干扰的情形。但是,当将所有 IC 都包装在一起时,就是噩梦的开始。IC 设计厂要从原先的单纯设计 IC,变成了解并整合各个功能的 IC,增加工程师的工作量。此外,也会遇到很多的状况,像是通讯芯片的高频讯号可能会影响其他功能的 IC 等情形。

  此外,SoC 还需要获得其他厂商的 IP(intellectual property)授权,才能将别人设计好的元件放到 SoC 中。因为制作 SoC 需要获得整颗 IC 的设计细节,才能做成完整的光罩,这同时也增加了 SoC 的设计成本。或许会有人质疑何不自己设计一颗就好了呢?因为设计各种 IC 需要大量和该 IC 相关的知识,只有像 Apple 这样多金的企业,才有预算能从各有名的公司挖角顶尖工程师,以设计一颗全新的 IC,透过合作授权还是比自行研发划算多了。

  采用 SiP 技术的产品,最着名的非 Apple Watch 莫属。因为 Watch 的内部空间太小,它无法采用传统的技术,SoC 的设计成本又太高,SiP 成了首要之选。藉由 SiP 技术,不单可缩小体积,还可拉近各个 IC 间的距离,成为可行的折衷方案。下图便是 Apple Watch 芯片的结构图,能够正常的看到相当多的 IC 包含在其中。

  ▲ Apple Watch 中采用 SiP 封装的 S1 芯片内部配置图。(Source:chipworks)

  完成封装后,便要进入测试的阶段,在此阶段便要确认封装完的 IC 是否有正常的运作,正确无误之后便可出货给组装厂,做成我们所见的电子科技类产品。至此,半导体产业便完成了整个生产的任务。